首页 > 大学本科> 工学> 电气信息类
题目内容 (请给出正确答案)
[主观题]

图12.29所示是两个4线-2线二进制编码器,试写出它们的F2和F1的逻辑表达式。列出真值表,并比较两者有何不同。

图12.29所示是两个4线-2线二进制编码器,试写出它们的F2和F1的逻辑表达式。列出真值表,并比较两者有何不同。

图12.29所示是两个4线-2线二进制编码器,试写出它们的F2和F1的逻辑表达式。列出真值表,并比较

查看答案
答案
收藏
如果结果不匹配,请 联系老师 获取答案
您可能会需要:
您的账号:,可能还需要:
您的账号:
发送账号密码至手机
发送
安装优题宝APP,拍照搜题省时又省心!
更多“图12.29所示是两个4线-2线二进制编码器,试写出它们的F…”相关的问题
第1题
图3—32所示是8线-3线优先编码器74148的逻辑符号,其功能表如表3-5所示。试用以构成一个16线-4线优

图3—32所示是8线-3线优先编码器74148的逻辑符号,其功能表如表3-5所示。试用以构成一个16线-4线优先编码器。

点击查看答案
第2题
试设计一个4/2线二进制编码器,输入信号为,低电平有效。输出的二进制代码用Y1,Y0表示。

试设计一个4/2线二进制编码器,输入信号为,低电平有效。输出的二进制代码用Y1,Y0表示。

点击查看答案
第3题
下图所示为10线-4线8421 BCD优先编码器74147的引脚图,其真值表如表所示。试确定下列情况下输出的BCD码:
点击查看答案
第4题
试用一片图3.2.36所示4线—16线译码器74LS154及最少的门电路实现两个二位二进制数A1A0、B1B0的大小比较电路,

试用一片图3.2.36所示4线—16线译码器74LS154及最少的门电路实现两个二位二进制数A1A0、B1B0的大小比较电路,即A>B时,F1=1;A<B时,F2=1;A=B时,F3=1。74LS154的为选通输入端,低电平有效:为输出端,译中时为0。

(1)列出真值表。

(2)列出电路输出函数F1、F2、F3的表达式。

(3)画出其接线图。

点击查看答案
第5题
试画出在表2-24中说明的4线-2线优先编码器的卡诺图,并写出逻辑表达式。 表2-24 4线-2线优先编码

试画出在表2-24中说明的4线-2线优先编码器的卡诺图,并写出逻辑表达式。

表2-24 4线-2线优先编码器真值表

a3

a2

a1

a0

E

b1

b0

1

1

1

1

1

×

×

0

×

×

×

0

1

1

1

0

×

×

0

1

0

1

1

0

×

0

0

1

1

1

1

0

0

0

0

点击查看答案
第6题
根据图4.4.5所示的逻辑图, 使用分模块、分层次设计方法,对16线-4线优先编码器的行为进行描述。要
求如下:

(1)首先根据8线-3线优先编码器CD4532的功能表,写出该编码器的行为级描述,并用QuartusII软件对该模块进行逻辑功能仿真,并给出仿真波形。

(2)然后调用上面设计的编码器子模块和基本门级元件,完成16线-4线优先编码器的建模。

(3)最后用QuartusII软件对整个电路进行逻辑功能仿真,并给出仿真波形。

点击查看答案
第7题
试画出在表2—24中说明的4线一2线优先编码器的卡诺图,并写出逻辑表达式。

点击查看答案
第8题
图1中所示是8线-3线优先编码器74148的逻辑符号,其功能表如表3-5所示。试用以构成一个16线-4线优先编码器。

图1中所示是8线-3线优先编码器74148的逻辑符号,其功能表如表3-5所示。试用以构成一个16线-4线优先编码器。

表3-5 8线-3线优先编码器的功能表

输入

输出

bar{ST}

bar{IN}_{0}

bar{IN}_{1}

bar{IN}_{2}

bar{IN}_{3}

bar{IN}_{4}

bar{IN}_{5}

bar{IN}_{6}

bar{IN}_{7}

bar{Y}_{2}

bar{Y}_{1}

bar{Y}_{0}

bar{Y}_{EX}

YS

1

×

×

×

×

×

×

×

×

1

1

1

1

1

0

1

1

1

1

1

1

1

1

1

1

1

1

0

1

×

×

×

×

×

×

×

0

0

0

0

0

1

0

×

×

×

×

×

×

0

1

0

0

1

0

1

0

×

×

×

×

×

0

1

1

0

1

0

0

1

0

×

×

×

×

0

1

1

1

0

1

1

0

1

0

×

×

×

0

1

1

1

1

1

0

0

0

1

0

×

×

0

1

1

1

1

1

1

0

1

0

1

0

×

0

1

1

1

1

1

1

1

1

0

0

1

0

0

1

1

1

1

1

1

1

1

1

1

0

1

点击查看答案
第9题
设计1个4线-2线优先编码器,要求输入、输出均为高电平有效,试写出用与非门实现的编码器输出的逻辑表达式。

设计1个4线-2线优先编码器,要求输入、输出均为高电平有效,试写出用与非门实现的编码器输出的逻辑表达式。

点击查看答案
第10题
试用3线-8线译码器和8线-3线优先编码器构成y=(3x)mod8电路,x和y都是三位二进制数。8线-3线优先编码器的逻辑

试用3线-8线译码器和8线-3线优先编码器构成y=(3x)mod8电路,x和y都是三位二进制数。8线-3线优先编码器的逻辑符号如图所示。

点击查看答案
退出 登录/注册
发送账号至手机
密码将被重置
获取验证码
发送
温馨提示
该问题答案仅针对搜题卡用户开放,请点击购买搜题卡。
马上购买搜题卡
我已购买搜题卡, 登录账号 继续查看答案
重置密码
确认修改